Library VHDL

Diposting oleh blog pribadiku

Dalam bahasa pemrograman vhdl dikenal pula paket library/pustaka yang berfungsi untuk memudahkan prorammer untuk menyelesaikan pekerjaannya karena dalam library tersebut terdapat fungsi-fungsi dan tipe data yang sudah didefinisikan sebelumnya untuk digunakan berulang-ulang. Dalam vhdl terdiri beberapa library, diantaranya ieee, std, work dan lain-lain. Di dalam library tersebut terdapat sub-tree yang disebut sebagai paket, diantaranya :

LIBRARY IEEE :
- math_real
- numeric_bit
- numeric_std
- std_logic_1164
- std_logic_arith
- std_logic_signed
- std_logic_unsigned
- vital_timing

LIBRARY STD :
- standard
- textio

LIBRARY WORK :
semua source code user akan dicompile dan dimasukkan ke dalam library ini

Multiplexer VHDL

Diposting oleh blog pribadiku

Multiplexer berfungsi untuk memilih output dari beberapa input berdasarkan input pada kaki selectornya. Multiplexer memiliki rangkaian logika sebagai berikut :



Dalam tulisan kali ini akan dicontohkan kode program vhdl untuk membuat multiplexer dengan 4 input, 2 selector, dan 1 output. Multiplexer diatas memiliki tabel logika :

==========
= s1 = s2 = Y =
==========
= 0 = 0 = a =
= 0 = 1 = b =
= 1 = 0 = c =
= 1 = 1 = d =
===========


Pertama-tama definisikan entitas multiplexer dengan nama "kepletex" :

entity kepletex is -- mendefinisikan entity "kepletex"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end kepletex;


Lalu definisikan arsitektur "mux_arch" dari entity "kepletex" :

architecture mux_arch of kepletex is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end mux_arch;


Arsitektur berfungsi untuk menerangkan bagaimana entity "kepletex" bekerja. Namun kode diatas hanyalah berupa template, sehingga tidak bisa dijalankan untuk simulasi maupun di sintesis karena belum didefinisikan bit input pada masing-masing portnya. Untuk itu kita tambahkan entity "sinyal" dan arsitektur "sinyal_arch" yang berfungsi untuk memberikan input pada entity "kepletex".

entity sinyal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end sinyal;

architecture sinyal_arch of sinyal is
begin
pros: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pros;
end sinyal_arch;


Semua kode vhdl diatas digabung menjadi satu menjadi :

library ieee;
use ieee.std_logic_1164.all;

entity kepletex is -- mendefinisikan entity "kepletex"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end kepletex;

architecture mux_arch of kepletex is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end mux_arch;

entity sinyal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end sinyal;

architecture sinyal_arch of sinyal is
begin
pros: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pros;
end sinyal_arch;

-- kode dibawah ini merupakan kode yang berfungsi menjalankan --
-- entity yang telah didefinisikan diatas --

library work;
use work.all;

entity eksekusi is
end eksekusi;

architecture eksekusi_arch of eksekusi is
signal in1,in2,in3,in4,select1,select2,output: bit;
begin
w1: entity sinyal port map(in1,in2,in3,in4,select1,select2);
w2: entity kepletex port map(in1,in2,in3,in4,select1,select2,output);
end eksekusi_arch;

Mengapa sampai saat ini kita masih mempelajari b.indonesia?

Diposting oleh blog pribadiku

Karena kita sebagai bangsa indonesia tidak boleh melupakan bahasa kita sendiri.dari kecil kita juga sudah diajarkan bahasa indonesia itu sendiri.kita harus bangga sebagai bagian dari bangsa indonesia.jangan karena kita merasa kita sudah jadi mahasiswa melupakan pelajaran bahasa indonesia itu sendiri,karena bahasa indonesia itu bahasa yang kita puna satu-satunya.kita harus bangga dengan indonesia.
Bahasa merupakan media untuk menyampaikan pesan atau informasi dari satu individu ke individu lainnya,baik itu secara lisan maupun secara tulisan.sebuah bangsa pasti memiliki bahasa masing-masing,walapun ada beberapa bangsa yang meminjam bahasa dari bangsa lain.kita sebagai masyarakat bangsa indonesia beruntung memiliki bahasa indonesia,walapun sebenarnya bahasa indonesia berakar dari bahasa melayu riau.
kita selalu memakai bahasa indonesia setiap saat,tetapi masih saja ada yang meyalah gunakan bahasa itu atau masih ada saja yang menggunakan bahasa indonesia tidak benar.
bahasa indonesia merupakan bahasa yang tidak sulit untuk dipelajari.kita sebagaipemilik bahasa indonesia harus bangga karena bahasa kitadipelajari bangsa lain.
dari SD,SMP,SMA sampai kita sudah KULIAH pelajaran bahasa indonesia kita pelajari.jangan mentang-mentang kita udah kuliah kita merasa pelajaran bahasa indonesia sudah tidak perlu kita pelajari lagi,karena sampai kapanpun bahsa itu kita pakai sampai kapanpun dan jangan sampai hilang bahasa kita sebagai bangsa indonesia.belum tentu kita belajar bahasa indonesia sudah mengerti sekali dengan pelajaran itu,pasti masih ada orang yang cuma pelajari bahasa indonesia tapi tidak diterapkan untuk kehidupan sehari-hari.
kita setiap saat,setiap detik,setiap menit pokoknya setiap waktu kita selalu menggunakan bahasa indonesia.

Tugas Bahasa Indonesia 1

Diposting oleh blog pribadiku

Baku - Tidak Baku

  1. apotek - apotik
  2. atlet - atlit
  3. bus -bis
  4. cenderamata - cinderamata
  5. konkret - konkrit-kongkrit
  6. sistem - sistim
  7. telepon - tilpon-telpon
  8. pertanggungjawaban - pertanggung jawaban
  9. utang - hutang
  10. pelanggan - langganan
  11. hakikat - hakekat
  12. kaidah - kaedah
  13. dipersilakan - dipersilahkan
  14. anggota - anggauta
  15. pihak - fihak
  16. disahkan - disyahkan
  17. lesung pipi - lesung pipit
  18. mengubah - merubah
  19. mengesampingkan- mengenyampingkan
  20. kualitas - kwalitas
  21. universitas - university
  22. teater - theatre
  23. struktur - structure
  24. monarki - monarkhi
  25. devaluasi - defaluasi
  26. abstrak - abstrac
  27. akomodasi - akomodir
  28. legalisiasi - legalisir
  29. diagnosis -diadnosa
  30. hipotesis -hipotesa
  31. kultur - culture
  32. deputi - deputy
  33. sekuritas - Security
  34. aktivitas - aktifitas
  35. relatif - relative
  36. repertoar - repertoire
  37. teknologi - tekhnologi; technologi
  38. elektronik - electronik
  39. direktur - director
  40. konduite - kondite
  41. akuarium - aquarium
  42. kongres - konggres
  43. hierarki - hirarkhi
  44. aksi - action
  45. psikiatri - psychiatry
  46. grup - group
  47. rute - route
  48. institut - institute
  49. aki - accu
  50. taksi - taxi
  51. sekadar - sekedar
  52. memesona - mempesona
  53. imbau - himbau
  54. berpikir - berfikir
  55. nasihat - nasehat
  56. terempas - terhempas
  57. pukul 19.30 WIB - jam 19.30 WIB
  58. standardisasi - standarisasi
  59. objek - obyek
  60. sportivitas - sportifitas
  61. sportif - sportip
  62. aktivitas - aktifitas
  63. aktif - aktip
  64. pengkreditan - pengreditan
  65. mengkreditkan - mengreditkan
  66. antarnegara - antar negara
  67. pascapanen - pasca panen
  68. dasawisma - dasa wisma
  69. pancaroba - panca roba
  70. mungkir = pungkir
  71. museum = musium
  72. narasumber = nara sumber
  73. nasihat = nasehat
  74. November = Nopember
  75. objek = obyek
  76. objektif = obyektif
  77. paspor = pasport
  78. profesor = proffesor
  79. ramadhan = ramadan
  80. saraf = syaraf
  81. silakan = silahkan
  82. subjek = subyek
  83. surga=syurga, sorga
  84. telantar = terlantar
  85. ubah = rubah
  86. utang = hutang
  87. varietas = varitas
  88. zaman = jaman
  89. risiko = resik
  90. jenazah=jenasah
  91. khotbah=khutbah
  92. kualitas=kwalitas, kwalitet
  93. Jumat=Jum’at
  94. kuitansi=kwitansi
  95. kredit=kridit
  96. zikir=dzikir
  97. zaman=jaman
  98. stroberi=strawberi, strawbery
  99. rubuh=roboh
  100. zuhur=dzuhur, dhuhur, zhuhur